Синтез та дослідження шифраторів

Лабораторна робота №3

Мета роботи: Синтезувати та виконати моделювання схеми шифратора для виконання функції шифрування із заданими вагами розрядів. Дослідити його роботу з використанням часових діаграм, побудованих у Micro-Cap.

1. ШИФРАТОРИ

1.1 Загальна характеристика шифратора

Шифраторомназивається функціональний вузол комп'ютера, призначений для перетворення вхідного m-розрядного унітарного коду у вихідний n-розрядний двійко­вий позиційний код. Двійкові шифратори виконують функцію, обернену функції де­шифратора. При активізації однієї з вхідних ліній дешифратора на його виходах фо­рмується код, який відображає номер активного входу. Повний двійковий шифратор має т = 2nвходів і nвиходів. Умовні графічні позначення шифраторів на схемах по­казані в літературі.

Функція шифратора позначається буквами CD (coder). Входи шифратора нуме­руються послідовними десятковими цифрами 0, 1, ..., т-1, а позначки виходів відображають ваги вихідних двійкових змінних 1, … , 2n-1

У цифрових пристроях шифратори вико­ристовуються для таких операцій: перетво­рення унітарного вхідного коду у вихідний двійковий позиційний код; введення десятко­вих даних з клавіатури; показання старшої одиниці в слові; передачі інформації між різ­ними пристроями при обмеженому числі ліній зв'язку.

1.2 Пріоритетний шифратор клавіатури

Одне з основних застосувань шифратора — введення даних з клавіатури, на­приклад, десяткових цифр. Натискання клавіші з десятковою цифрою 0, 1, ..., 9 ма­ють приводити до передачі в цифровий пристрій двійково-десяткового коду цієї циф­ри. Для цього використовується неповний шифратор "з 10 в 4".

Шифратори, які при одночасному натисканні декількох клавіш виробляють код тільки старшої цифри, називаються пріоритетними.Пріоритетні шифратори, які призначені для пошуку старшої (лівої) одиниці в слові та формування на виході двій­кового номера шуканого розряду, називаються покажчиками старшої одиниці.Їх застосовують у пристроях нормалізації чисел з плаваючою комою, в системах з пріоритетним обслуговуванням запитів на переривання роботи комп'ютера.

Логіка роботи пріоритетного шифратора на вісім входів наведена в табл. 1, де прийняті такі позначення: Синтез та дослідження шифраторів - student2.ru , Синтез та дослідження шифраторів - student2.ru ,..., Синтез та дослідження шифраторів - student2.ru — вхідні інверсні сигнали, записані в порядку зростання пріоритету: Синтез та дослідження шифраторів - student2.ru — найнижчий, Синтез та дослідження шифраторів - student2.ru — найвищий; Синтез та дослідження шифраторів - student2.ru , Синтез та дослідження шифраторів - student2.ru , Синтез та дослідження шифраторів - student2.ru — вихідний ін­версний позиційний код; Синтез та дослідження шифраторів - student2.ru — сигнал стробування; Синтез та дослідження шифраторів - student2.ru — функція, яка вказує на над­ходження вхідного сигналу; Синтез та дослідження шифраторів - student2.ru — функція, яка вказує на відсутність вхідних сигналів.

Таблиця 1

Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru
Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru Синтез та дослідження шифраторів - student2.ru

У табл. 1 значення вхідних змінних праворуч від діагоналі, утвореної цифрами 1, не повинні визначати вихідний код (вони позначені хрестиком). Це пояснюється тим, що сигнал з більшим пріоритетом блокує запити з меншими пріоритетами.

Із табл. 1 отримуємо вирази для вихідного коду шифратора Синтез та дослідження шифраторів - student2.ru , Синтез та дослідження шифраторів - student2.ru , Синтез та дослідження шифраторів - student2.ru і фун­кцій Синтез та дослідження шифраторів - student2.ru та Синтез та дослідження шифраторів - student2.ru , які відповідно визначають відсутність інформаційних сигналів на всіх виходах та наявність сигналу хоч би на одному вході. Для спрощення виразів вико­ристовуємо тотожність Синтез та дослідження шифраторів - student2.ru та закони де Моргана:

Синтез та дослідження шифраторів - student2.ru ;

Синтез та дослідження шифраторів - student2.ru ;

Синтез та дослідження шифраторів - student2.ru ;

Синтез та дослідження шифраторів - student2.ru ; Синтез та дослідження шифраторів - student2.ru ;

Синтез та дослідження шифраторів - student2.ru ; Синтез та дослідження шифраторів - student2.ru .

На основі цих виразів може бути побудована схема пріоритетного шифратора "8 Синтез та дослідження шифраторів - student2.ru З".

При Синтез та дослідження шифраторів - student2.ru = 1 робота схеми блокується і незалежно від сигналів на входах маємо на інверсних виходах: Синтез та дослідження шифраторів - student2.ru = 111, Синтез та дослідження шифраторів - student2.ru =1, Синтез та дослідження шифраторів - student2.ru =1. Якщо, наприклад, Синтез та дослідження шифраторів - student2.ru = 0 і Синтез та дослідження шифраторів - student2.ru =0, то схема формує на виходах код номера входу із старшим пріоритетом: Синтез та дослідження шифраторів - student2.ru = 001 або в прямому коді Х3Х2ХІ = 1102 = 610. Активний стан виходу відо­бражається значеннями функцій Синтез та дослідження шифраторів - student2.ru = 0 і Синтез та дослідження шифраторів - student2.ru =1, які передаються в процесор, а також використовуються при каскадуванні шифраторів. Схема, зображена на рис. 1, є аналогом шифратора К555ИВ1.

1.3 Каскадування шифраторів

Каскадування шифраторів використовується для збільшення розрядності вхідного слова. Схема каскадування двох восьмивходових шифраторів К555ИВ1 для пріоритетного обслуговування 16-розрядного слова Синтез та дослідження шифраторів - student2.ru - Синтез та дослідження шифраторів - student2.ru показана на рис. 2.

Розряди Синтез та дослідження шифраторів - student2.ru - Синтез та дослідження шифраторів - student2.ru старшого байта вхідного слова поступають на перший шифра­тор CD1, а розряди Синтез та дослідження шифраторів - student2.ru - Синтез та дослідження шифраторів - student2.ru молодшого байта подаються на другий шифратор CD2.

Вхід Синтез та дослідження шифраторів - student2.ru має найвищий пріоритет, a Синтез та дослідження шифраторів - student2.ru — найнижчий. Інформаційні виходи обох ши­фраторів об'єднуються за допомогою логічних елементів ЧИ, утворюючи трирозрядний інверсний код Синтез та дослідження шифраторів - student2.ru . Значення старшого розряду Синтез та дослідження шифраторів - student2.ru забезпечується безпосе­редньо сигналом Синтез та дослідження шифраторів - student2.ru .

Інформація з виходів першого шифратора подається на входи елементів ЧИ за допомогою схем збігу ЛИ1 при Синтез та дослідження шифраторів - student2.ru = 1 (мікросхема CD1 сприймає вхідні дані, а CD2 — блокована). Інформація з виходів другого шифратора подається на входи елементів АБО за допомогою схем збігу ЛИ2 при V2 = 1 (мікросхема CD2 сприймає вхідні дані, CD1 — блокується).

Схема працює так: коли на вході є активний сигнал із старшого байта вхідного слова, наприклад, Синтез та дослідження шифраторів - student2.ru =0 ( Синтез та дослідження шифраторів - student2.ru = 0 Синтез та дослідження шифраторів - student2.ru =1), то працює шифратор CD1 і на виходах елементів ЧИ формується інверсний код Синтез та дослідження шифраторів - student2.ru =0001, що відповідає прямому значенню Синтез та дослідження шифраторів - student2.ru =1110= 1410. Якщо активний вхідний сигнал відноситься до молодшого байта слова, наприклад, Синтез та дослідження шифраторів - student2.ru =0, то працює шифратор CD2 ( Синтез та дослідження шифраторів - student2.ru =1, Синтез та дослідження шифраторів - student2.ru =0, Синтез та дослідження шифраторів - student2.ru = 1) і на інверсних виходах формується код Синтез та дослідження шифраторів - student2.ru =1001, що відповідає прямому числу Синтез та дослідження шифраторів - student2.ru = 0110 = 610.

Хід роботи

1. Отримати у викладача варіант завдання для виконання роботи.

2. Ознайомитися з принципами побудови та характеристиками шифраторів.

3. Синтезувати схему шифратора з використанням дешифратора для отримання унітарного коду і подальшого кодування для виконання заданої функції шифрування.

4. Створити схему дешифратора – шифратора в ППП Micro-Cap.

4.1 Відкрити в ППП Micro-Cap файл дешифратора із попередньої лабораторної роботи і присвоїти йому нове імя.

4.2 Доповнити схему логічними елементами АБО (Or) на 4 (або більше входів) для побудови шифратора і реалізації заданої функції шифрування.

4.6 Присвоїти імена вхідним, проміжним та вихідній лініям, наприклад, вхідні X1, X2, X3, X4, проміжні Y1, Y2, …, Y16 та вихідні А1, А2, А3, А4.

5. Виконати моделювання і отримати часові діаграми роботи шифратора для виконання заданої функції.

Перейти до виконання програми моделювання роботи шифратора. Для цього в текстовому меню вибрати опцію Analysis – Transient і визвати таблицю для опису полів часової діаграми.

Описати вхідні та вихідні сигнали з використанням полів X і Y Expression (вказати точки для побудови часової діаграми, наприклад, описати точки d(X1), d(X2), d(X3), d(X4)) та X і Y Range (вказати часовий діапазон перегляду сигналів).

Отримати часові діаграми (використати піктограму Run для побудови діаграм).

6. Вказати затримку сигналів для логічних елементів схеми відповідно до завдання. Отримати нові часові діаграми.

7. Порівняти часові діаграми, зробити висновки.

8. Оформити звіт по лабораторній роботі та захистити його у викладача.

Варіанти завдань

Варіанти завдань для побудови шифраторів беруться із лабораторної роботи № 1, схема дешифратора – із лабораторної роботи № 2.

Наши рекомендации